Interface clean up. WA for verilator tracing

This commit is contained in:
2025-08-24 11:27:11 -07:00
parent 8d8ea4443c
commit 5451131e1a
7 changed files with 233 additions and 43 deletions

View File

@@ -32,7 +32,7 @@ class axi_monitor extends uvm_monitor; // #(axi_transaction);
// --------------------------------------------------
// Set virtual interfaces
function void set_virtual_interfaces(virtual `AXI_INTF.MANAGER m_if_p,
virtual `AXI_INTF.SUBORDINATE s_if_p);
virtual `AXI_INTF.SUBORDINATE s_if_p);
`uvm_info("set_virtual_interfaces", $sformatf("Setting virtual interfaces"), UVM_LOW)
m_if = m_if_p;
@@ -68,7 +68,6 @@ class axi_monitor extends uvm_monitor; // #(axi_transaction);
$fwrite(trk_file, "AXI monitor (%0s Mode)\n", agent_type.name());
$fwrite(trk_file, "--------------------------------------------------\n");
forever begin
@(posedge mon_if.ACLK);
if (mon_if.ARESETn != 0) begin