Interface clean up. WA for verilator tracing
This commit is contained in:
@@ -32,7 +32,7 @@ class axi_monitor extends uvm_monitor; // #(axi_transaction);
|
||||
// --------------------------------------------------
|
||||
// Set virtual interfaces
|
||||
function void set_virtual_interfaces(virtual `AXI_INTF.MANAGER m_if_p,
|
||||
virtual `AXI_INTF.SUBORDINATE s_if_p);
|
||||
virtual `AXI_INTF.SUBORDINATE s_if_p);
|
||||
`uvm_info("set_virtual_interfaces", $sformatf("Setting virtual interfaces"), UVM_LOW)
|
||||
|
||||
m_if = m_if_p;
|
||||
@@ -68,7 +68,6 @@ class axi_monitor extends uvm_monitor; // #(axi_transaction);
|
||||
$fwrite(trk_file, "AXI monitor (%0s Mode)\n", agent_type.name());
|
||||
$fwrite(trk_file, "--------------------------------------------------\n");
|
||||
|
||||
|
||||
forever begin
|
||||
@(posedge mon_if.ACLK);
|
||||
if (mon_if.ARESETn != 0) begin
|
||||
|
Reference in New Issue
Block a user