Separated manager and subordinate drivers

Other clean up and changes
This commit is contained in:
2025-08-23 17:03:40 -07:00
parent 3e82cd5455
commit 8d8ea4443c
9 changed files with 252 additions and 116 deletions

View File

@@ -1,10 +1,10 @@
// ----------------------------------------------------------------------
class axi_transaction extends uvm_sequence_item;
// Declare AXI transaction fields
rand axi_transaction_type_t txn_type; // Transaction type (read/write)
rand bit [31:0] addr; // Address
rand bit [31:0] data; // Data
rand bit [3:0] strb; // Byte enable
rand axi_transaction_type_t txn_type; // Transaction type (read/write)
rand bit [`ADDR_WIDTH-1:0] addr; // Address
rand bit [`DATA_WIDTH-1:0] data; // Data
rand bit [`DATA_WIDTH_DIV_8-1:0] strb; // Byte enable
`uvm_object_utils_begin(axi_transaction)
`uvm_field_enum(axi_transaction_type_t, txn_type, UVM_DEFAULT)