Files
axipg/src/axi/axi_pkg.sv
2025-08-23 17:03:40 -07:00

17 lines
352 B
Systemverilog

package axi_pkg;
import uvm_pkg::*;
import axi_types::*;
`include "uvm_macros.svh"
// UVM data items
`include "axi_transaction.sv"
// UVM components
`include "axi_driver.sv"
`include "axi_subordinate_driver.sv"
`include "axi_manager_driver.sv"
`include "axi_monitor.sv"
`include "axi_agent.sv"
endpackage