Files
axipg/tb/tb_pkg.sv

20 lines
340 B
Systemverilog

`include "tb_intf.sv"
package tb_pkg;
import uvm_pkg::*;
import common_pkg::*;
import axi_pkg::*;
import axi_types::*;
`include "uvm_macros.svh"
// UVM components
`include "tb_env.sv"
// UVM sequences
`include "tb_seq_base.sv"
// Tests
`include "test_base.sv"
endpackage
`include "tb_top.sv"