Miscellaneous updates
This commit is contained in:
@@ -36,6 +36,7 @@ class axi_simple_seq extends axi_m_seq_base;
|
||||
|
||||
// Task to start the sequence
|
||||
virtual task body();
|
||||
axi_transaction txns[$];
|
||||
axi_transaction txn;
|
||||
|
||||
super.body();
|
||||
@@ -48,6 +49,16 @@ class axi_simple_seq extends axi_m_seq_base;
|
||||
data != {`DATA_WIDTH{1'b0}};
|
||||
strb == 'hf; // Example byte enable
|
||||
});
|
||||
`uvm_info("axi_simple_seq", $sformatf("Starting %s transaction [%0s]",
|
||||
txn.txn_type.name(), txn.show_tag()), UVM_LOW)
|
||||
txns.push_back(txn);
|
||||
end
|
||||
|
||||
`uvm_info("axi_simple_seq", $sformatf("Waiting for %0d txns", txns.size()), UVM_LOW)
|
||||
foreach (txns[i]) begin
|
||||
`uvm_info("axi_simple_seq", $sformatf("Waiting for txn %s", txns[i].show_tag()), UVM_LOW)
|
||||
txns[i].wait_for_done();
|
||||
`uvm_info("axi_simple_seq", $sformatf("Done waiting for txn %s", txns[i].show_tag()), UVM_LOW)
|
||||
end
|
||||
endtask
|
||||
endclass : axi_simple_seq
|
||||
|
@@ -12,8 +12,12 @@ class test_base extends uvm_test;
|
||||
|
||||
// ------------------------------------------------------------
|
||||
function void build_phase(uvm_phase phase);
|
||||
uvm_root ur;
|
||||
super.build_phase(phase);
|
||||
|
||||
ur = uvm_root::get();
|
||||
ur.set_timeout(10us);
|
||||
|
||||
env = tb_env::type_id::create("env", this);
|
||||
tb_printer = new("tb_printer");
|
||||
|
||||
|
Reference in New Issue
Block a user