Miscellaneous updates
This commit is contained in:
@@ -12,8 +12,12 @@ class test_base extends uvm_test;
|
||||
|
||||
// ------------------------------------------------------------
|
||||
function void build_phase(uvm_phase phase);
|
||||
uvm_root ur;
|
||||
super.build_phase(phase);
|
||||
|
||||
ur = uvm_root::get();
|
||||
ur.set_timeout(10us);
|
||||
|
||||
env = tb_env::type_id::create("env", this);
|
||||
tb_printer = new("tb_printer");
|
||||
|
||||
|
Reference in New Issue
Block a user