sample_uvm_tb/agent_tb.sv

8 lines
193 B
Systemverilog
Raw Normal View History

2024-08-11 21:48:11 -07:00
class sequencer_tb extends uvm_sequencer;
`uvm_component_utils(sequencer_tb)
function new(string name, uvm_component parent);
super.new(name, parent);
endfunction
endclass