8 lines
193 B
Systemverilog
8 lines
193 B
Systemverilog
class sequencer_tb extends uvm_sequencer;
|
|
`uvm_component_utils(sequencer_tb)
|
|
|
|
function new(string name, uvm_component parent);
|
|
super.new(name, parent);
|
|
endfunction
|
|
endclass
|